blob: 53418699b2702827d428f650171cc82e52d7508c [file] [log] [blame]
Nobuhiro Iwamatsucff2f5f2014-06-26 10:23:30 +09001#
2# board/renesas/alt/Makefile
3#
4# Copyright (C) 2014 Renesas Electronics Corporation
5#
6# SPDX-License-Identifier: GPL-2.0
7#
8
Marek Vasutbb6d2ff2018-04-23 20:24:16 +02009ifdef CONFIG_SPL_BUILD
10obj-y := alt_spl.o
11else
12obj-y := alt.o qos.o
13endif