blob: a183c34ea3db2c347a94493cb77538f0a01ec790 [file] [log] [blame]
Aneesh V37768012011-07-21 09:10:07 -04001/*
2 *
3 * Clock initialization for OMAP4
4 *
5 * (C) Copyright 2010
6 * Texas Instruments, <www.ti.com>
7 *
8 * Aneesh V <aneesh@ti.com>
9 *
10 * Based on previous work by:
11 * Santosh Shilimkar <santosh.shilimkar@ti.com>
12 * Rajendra Nayak <rnayak@ti.com>
13 *
14 * See file CREDITS for list of people who contributed to this
15 * project.
16 *
17 * This program is free software; you can redistribute it and/or
18 * modify it under the terms of the GNU General Public License as
19 * published by the Free Software Foundation; either version 2 of
20 * the License, or (at your option) any later version.
21 *
22 * This program is distributed in the hope that it will be useful,
23 * but WITHOUT ANY WARRANTY; without even the implied warranty of
24 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
25 * GNU General Public License for more details.
26 *
27 * You should have received a copy of the GNU General Public License
28 * along with this program; if not, write to the Free Software
29 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
30 * MA 02111-1307 USA
31 */
32#include <common.h>
33#include <asm/omap_common.h>
Sanjeev Premi3b690eb2011-09-08 10:48:39 -040034#include <asm/gpio.h>
Aneesh V37768012011-07-21 09:10:07 -040035#include <asm/arch/clocks.h>
36#include <asm/arch/sys_proto.h>
37#include <asm/utils.h>
Aneesh Vd5067192011-07-21 09:29:32 -040038#include <asm/omap_gpio.h>
Aneesh V37768012011-07-21 09:10:07 -040039
40#ifndef CONFIG_SPL_BUILD
41/*
42 * printing to console doesn't work unless
43 * this code is executed from SPL
44 */
45#define printf(fmt, args...)
46#define puts(s)
47#endif
48
Aneesh V37768012011-07-21 09:10:07 -040049static inline u32 __get_sys_clk_index(void)
50{
51 u32 ind;
52 /*
53 * For ES1 the ROM code calibration of sys clock is not reliable
54 * due to hw issue. So, use hard-coded value. If this value is not
55 * correct for any board over-ride this function in board file
56 * From ES2.0 onwards you will get this information from
57 * CM_SYS_CLKSEL
58 */
59 if (omap_revision() == OMAP4430_ES1_0)
60 ind = OMAP_SYS_CLK_IND_38_4_MHZ;
61 else {
62 /* SYS_CLKSEL - 1 to match the dpll param array indices */
63 ind = (readl(&prcm->cm_sys_clksel) &
64 CM_SYS_CLKSEL_SYS_CLKSEL_MASK) - 1;
65 }
66 return ind;
67}
68
69u32 get_sys_clk_index(void)
70 __attribute__ ((weak, alias("__get_sys_clk_index")));
71
72u32 get_sys_clk_freq(void)
73{
74 u8 index = get_sys_clk_index();
75 return sys_clk_array[index];
76}
77
78static inline void do_bypass_dpll(u32 *const base)
79{
80 struct dpll_regs *dpll_regs = (struct dpll_regs *)base;
81
82 clrsetbits_le32(&dpll_regs->cm_clkmode_dpll,
83 CM_CLKMODE_DPLL_DPLL_EN_MASK,
84 DPLL_EN_FAST_RELOCK_BYPASS <<
85 CM_CLKMODE_DPLL_EN_SHIFT);
86}
87
88static inline void wait_for_bypass(u32 *const base)
89{
90 struct dpll_regs *const dpll_regs = (struct dpll_regs *)base;
91
92 if (!wait_on_value(ST_DPLL_CLK_MASK, 0, &dpll_regs->cm_idlest_dpll,
93 LDELAY)) {
94 printf("Bypassing DPLL failed %p\n", base);
95 }
96}
97
98static inline void do_lock_dpll(u32 *const base)
99{
100 struct dpll_regs *const dpll_regs = (struct dpll_regs *)base;
101
102 clrsetbits_le32(&dpll_regs->cm_clkmode_dpll,
103 CM_CLKMODE_DPLL_DPLL_EN_MASK,
104 DPLL_EN_LOCK << CM_CLKMODE_DPLL_EN_SHIFT);
105}
106
107static inline void wait_for_lock(u32 *const base)
108{
109 struct dpll_regs *const dpll_regs = (struct dpll_regs *)base;
110
111 if (!wait_on_value(ST_DPLL_CLK_MASK, ST_DPLL_CLK_MASK,
112 &dpll_regs->cm_idlest_dpll, LDELAY)) {
113 printf("DPLL locking failed for %p\n", base);
114 hang();
115 }
116}
117
Sricharan78f455c2011-11-15 09:50:03 -0500118inline u32 check_for_lock(u32 *const base)
Aneesh V37768012011-07-21 09:10:07 -0400119{
Aneesh V37768012011-07-21 09:10:07 -0400120 struct dpll_regs *const dpll_regs = (struct dpll_regs *)base;
Sricharan78f455c2011-11-15 09:50:03 -0500121 u32 lock = readl(&dpll_regs->cm_idlest_dpll) & ST_DPLL_CLK_MASK;
122
123 return lock;
124}
125
126static void do_setup_dpll(u32 *const base, const struct dpll_params *params,
127 u8 lock, char *dpll)
128{
129 u32 temp, M, N;
130 struct dpll_regs *const dpll_regs = (struct dpll_regs *)base;
131
132 temp = readl(&dpll_regs->cm_clksel_dpll);
133
134 if (check_for_lock(base)) {
135 /*
136 * The Dpll has already been locked by rom code using CH.
137 * Check if M,N are matching with Ideal nominal opp values.
138 * If matches, skip the rest otherwise relock.
139 */
140 M = (temp & CM_CLKSEL_DPLL_M_MASK) >> CM_CLKSEL_DPLL_M_SHIFT;
141 N = (temp & CM_CLKSEL_DPLL_N_MASK) >> CM_CLKSEL_DPLL_N_SHIFT;
142 if ((M != (params->m)) || (N != (params->n))) {
143 debug("\n %s Dpll locked, but not for ideal M = %d,"
144 "N = %d values, current values are M = %d,"
145 "N= %d" , dpll, params->m, params->n,
146 M, N);
147 } else {
148 /* Dpll locked with ideal values for nominal opps. */
149 debug("\n %s Dpll already locked with ideal"
150 "nominal opp values", dpll);
151 goto setup_post_dividers;
152 }
153 }
Aneesh V37768012011-07-21 09:10:07 -0400154
155 bypass_dpll(base);
156
157 /* Set M & N */
Aneesh V37768012011-07-21 09:10:07 -0400158 temp &= ~CM_CLKSEL_DPLL_M_MASK;
159 temp |= (params->m << CM_CLKSEL_DPLL_M_SHIFT) & CM_CLKSEL_DPLL_M_MASK;
160
161 temp &= ~CM_CLKSEL_DPLL_N_MASK;
162 temp |= (params->n << CM_CLKSEL_DPLL_N_SHIFT) & CM_CLKSEL_DPLL_N_MASK;
163
164 writel(temp, &dpll_regs->cm_clksel_dpll);
165
166 /* Lock */
167 if (lock)
168 do_lock_dpll(base);
169
Sricharan78f455c2011-11-15 09:50:03 -0500170setup_post_dividers:
Sricharan2e5ba482011-11-15 09:49:58 -0500171 setup_post_dividers(base, params);
Aneesh V37768012011-07-21 09:10:07 -0400172
173 /* Wait till the DPLL locks */
174 if (lock)
175 wait_for_lock(base);
176}
177
Sricharan2e5ba482011-11-15 09:49:58 -0500178u32 omap_ddr_clk(void)
Aneesh V37768012011-07-21 09:10:07 -0400179{
Sricharan2e5ba482011-11-15 09:49:58 -0500180 u32 ddr_clk, sys_clk_khz, omap_rev, divider;
Aneesh V37768012011-07-21 09:10:07 -0400181 const struct dpll_params *core_dpll_params;
182
Sricharan2e5ba482011-11-15 09:49:58 -0500183 omap_rev = omap_revision();
Aneesh V37768012011-07-21 09:10:07 -0400184 sys_clk_khz = get_sys_clk_freq() / 1000;
185
186 core_dpll_params = get_core_dpll_params();
187
188 debug("sys_clk %d\n ", sys_clk_khz * 1000);
189
190 /* Find Core DPLL locked frequency first */
191 ddr_clk = sys_clk_khz * 2 * core_dpll_params->m /
192 (core_dpll_params->n + 1);
Aneesh V37768012011-07-21 09:10:07 -0400193
Sricharan2e5ba482011-11-15 09:49:58 -0500194 if (omap_rev < OMAP5430_ES1_0) {
195 /*
196 * DDR frequency is PHY_ROOT_CLK/2
197 * PHY_ROOT_CLK = Fdpll/2/M2
198 */
199 divider = 4;
200 } else {
201 /*
202 * DDR frequency is PHY_ROOT_CLK
203 * PHY_ROOT_CLK = Fdpll/2/M2
204 */
205 divider = 2;
206 }
207
208 ddr_clk = ddr_clk / divider / core_dpll_params->m2;
Aneesh V37768012011-07-21 09:10:07 -0400209 ddr_clk *= 1000; /* convert to Hz */
210 debug("ddr_clk %d\n ", ddr_clk);
211
212 return ddr_clk;
213}
214
Aneesh Vb4dc6442011-07-21 09:29:36 -0400215/*
216 * Lock MPU dpll
217 *
218 * Resulting MPU frequencies:
219 * 4430 ES1.0 : 600 MHz
220 * 4430 ES2.x : 792 MHz (OPP Turbo)
221 * 4460 : 920 MHz (OPP Turbo) - DCC disabled
222 */
223void configure_mpu_dpll(void)
224{
225 const struct dpll_params *params;
226 struct dpll_regs *mpu_dpll_regs;
Sricharan2e5ba482011-11-15 09:49:58 -0500227 u32 omap_rev;
228 omap_rev = omap_revision();
Aneesh Vb4dc6442011-07-21 09:29:36 -0400229
Sricharan2e5ba482011-11-15 09:49:58 -0500230 /*
231 * DCC and clock divider settings for 4460.
232 * DCC is required, if more than a certain frequency is required.
233 * For, 4460 > 1GHZ.
234 * 5430 > 1.4GHZ.
235 */
236 if ((omap_rev >= OMAP4460_ES1_0) && (omap_rev < OMAP5430_ES1_0)) {
Aneesh Vb4dc6442011-07-21 09:29:36 -0400237 mpu_dpll_regs =
238 (struct dpll_regs *)&prcm->cm_clkmode_dpll_mpu;
239 bypass_dpll(&prcm->cm_clkmode_dpll_mpu);
240 clrbits_le32(&prcm->cm_mpu_mpu_clkctrl,
241 MPU_CLKCTRL_CLKSEL_EMIF_DIV_MODE_MASK);
242 setbits_le32(&prcm->cm_mpu_mpu_clkctrl,
243 MPU_CLKCTRL_CLKSEL_ABE_DIV_MODE_MASK);
244 clrbits_le32(&mpu_dpll_regs->cm_clksel_dpll,
245 CM_CLKSEL_DCC_EN_MASK);
246 }
247
SRICHARAN R5f14d912012-03-12 02:25:34 +0000248 setbits_le32(&prcm->cm_mpu_mpu_clkctrl,
249 MPU_CLKCTRL_CLKSEL_EMIF_DIV_MODE_MASK);
250 setbits_le32(&prcm->cm_mpu_mpu_clkctrl,
251 MPU_CLKCTRL_CLKSEL_ABE_DIV_MODE_MASK);
252
Sricharan2e5ba482011-11-15 09:49:58 -0500253 params = get_mpu_dpll_params();
Sricharan78f455c2011-11-15 09:50:03 -0500254
255 do_setup_dpll(&prcm->cm_clkmode_dpll_mpu, params, DPLL_LOCK, "mpu");
Aneesh Vb4dc6442011-07-21 09:29:36 -0400256 debug("MPU DPLL locked\n");
257}
258
Govindraj.R860004c2012-02-06 03:55:36 +0000259#ifdef CONFIG_USB_EHCI_OMAP
260static void setup_usb_dpll(void)
261{
262 const struct dpll_params *params;
263 u32 sys_clk_khz, sd_div, num, den;
264
265 sys_clk_khz = get_sys_clk_freq() / 1000;
266 /*
267 * USB:
268 * USB dpll is J-type. Need to set DPLL_SD_DIV for jitter correction
269 * DPLL_SD_DIV = CEILING ([DPLL_MULT/(DPLL_DIV+1)]* CLKINP / 250)
270 * - where CLKINP is sys_clk in MHz
271 * Use CLKINP in KHz and adjust the denominator accordingly so
272 * that we have enough accuracy and at the same time no overflow
273 */
274 params = get_usb_dpll_params();
275 num = params->m * sys_clk_khz;
276 den = (params->n + 1) * 250 * 1000;
277 num += den - 1;
278 sd_div = num / den;
279 clrsetbits_le32(&prcm->cm_clksel_dpll_usb,
280 CM_CLKSEL_DPLL_DPLL_SD_DIV_MASK,
281 sd_div << CM_CLKSEL_DPLL_DPLL_SD_DIV_SHIFT);
282
283 /* Now setup the dpll with the regular function */
284 do_setup_dpll(&prcm->cm_clkmode_dpll_usb, params, DPLL_LOCK, "usb");
285}
286#endif
287
Aneesh V37768012011-07-21 09:10:07 -0400288static void setup_dplls(void)
289{
Anatolij Gustschin164a7502011-12-03 06:46:14 +0000290 u32 temp;
Aneesh V37768012011-07-21 09:10:07 -0400291 const struct dpll_params *params;
Aneesh V37768012011-07-21 09:10:07 -0400292
Anatolij Gustschin164a7502011-12-03 06:46:14 +0000293 debug("setup_dplls\n");
Aneesh V37768012011-07-21 09:10:07 -0400294
295 /* CORE dpll */
296 params = get_core_dpll_params(); /* default - safest */
297 /*
298 * Do not lock the core DPLL now. Just set it up.
299 * Core DPLL will be locked after setting up EMIF
300 * using the FREQ_UPDATE method(freq_update_core())
301 */
Sricharan78f455c2011-11-15 09:50:03 -0500302 do_setup_dpll(&prcm->cm_clkmode_dpll_core, params, DPLL_NO_LOCK,
303 "core");
Aneesh V37768012011-07-21 09:10:07 -0400304 /* Set the ratios for CORE_CLK, L3_CLK, L4_CLK */
305 temp = (CLKSEL_CORE_X2_DIV_1 << CLKSEL_CORE_SHIFT) |
306 (CLKSEL_L3_CORE_DIV_2 << CLKSEL_L3_SHIFT) |
307 (CLKSEL_L4_L3_DIV_2 << CLKSEL_L4_SHIFT);
308 writel(temp, &prcm->cm_clksel_core);
309 debug("Core DPLL configured\n");
310
311 /* lock PER dpll */
Sricharan2e5ba482011-11-15 09:49:58 -0500312 params = get_per_dpll_params();
Aneesh V37768012011-07-21 09:10:07 -0400313 do_setup_dpll(&prcm->cm_clkmode_dpll_per,
Sricharan78f455c2011-11-15 09:50:03 -0500314 params, DPLL_LOCK, "per");
Aneesh V37768012011-07-21 09:10:07 -0400315 debug("PER DPLL locked\n");
316
317 /* MPU dpll */
Aneesh Vb4dc6442011-07-21 09:29:36 -0400318 configure_mpu_dpll();
Govindraj.R860004c2012-02-06 03:55:36 +0000319
320#ifdef CONFIG_USB_EHCI_OMAP
321 setup_usb_dpll();
322#endif
Aneesh V37768012011-07-21 09:10:07 -0400323}
324
Sricharan78f455c2011-11-15 09:50:03 -0500325#ifdef CONFIG_SYS_CLOCKS_ENABLE_ALL
Aneesh V37768012011-07-21 09:10:07 -0400326static void setup_non_essential_dplls(void)
327{
Anatolij Gustschin27ac87d2012-03-27 23:13:43 +0000328 u32 abe_ref_clk;
Aneesh V37768012011-07-21 09:10:07 -0400329 const struct dpll_params *params;
330
Aneesh V37768012011-07-21 09:10:07 -0400331 /* IVA */
332 clrsetbits_le32(&prcm->cm_bypclk_dpll_iva,
333 CM_BYPCLK_DPLL_IVA_CLKSEL_MASK, DPLL_IVA_CLKSEL_CORE_X2_DIV_2);
334
Sricharan2e5ba482011-11-15 09:49:58 -0500335 params = get_iva_dpll_params();
Sricharan78f455c2011-11-15 09:50:03 -0500336 do_setup_dpll(&prcm->cm_clkmode_dpll_iva, params, DPLL_LOCK, "iva");
Aneesh V37768012011-07-21 09:10:07 -0400337
Sricharan2e5ba482011-11-15 09:49:58 -0500338 /* Configure ABE dpll */
339 params = get_abe_dpll_params();
340#ifdef CONFIG_SYS_OMAP_ABE_SYSCK
Aneesh V37768012011-07-21 09:10:07 -0400341 abe_ref_clk = CM_ABE_PLL_REF_CLKSEL_CLKSEL_SYSCLK;
342#else
Aneesh V37768012011-07-21 09:10:07 -0400343 abe_ref_clk = CM_ABE_PLL_REF_CLKSEL_CLKSEL_32KCLK;
344 /*
345 * We need to enable some additional options to achieve
346 * 196.608MHz from 32768 Hz
347 */
348 setbits_le32(&prcm->cm_clkmode_dpll_abe,
349 CM_CLKMODE_DPLL_DRIFTGUARD_EN_MASK|
350 CM_CLKMODE_DPLL_RELOCK_RAMP_EN_MASK|
351 CM_CLKMODE_DPLL_LPMODE_EN_MASK|
352 CM_CLKMODE_DPLL_REGM4XEN_MASK);
353 /* Spend 4 REFCLK cycles at each stage */
354 clrsetbits_le32(&prcm->cm_clkmode_dpll_abe,
355 CM_CLKMODE_DPLL_RAMP_RATE_MASK,
356 1 << CM_CLKMODE_DPLL_RAMP_RATE_SHIFT);
357#endif
358
359 /* Select the right reference clk */
360 clrsetbits_le32(&prcm->cm_abe_pll_ref_clksel,
361 CM_ABE_PLL_REF_CLKSEL_CLKSEL_MASK,
362 abe_ref_clk << CM_ABE_PLL_REF_CLKSEL_CLKSEL_SHIFT);
363 /* Lock the dpll */
Sricharan78f455c2011-11-15 09:50:03 -0500364 do_setup_dpll(&prcm->cm_clkmode_dpll_abe, params, DPLL_LOCK, "abe");
Aneesh V37768012011-07-21 09:10:07 -0400365}
Sricharan78f455c2011-11-15 09:50:03 -0500366#endif
Aneesh V37768012011-07-21 09:10:07 -0400367
Nishanth Menon3acb5532012-03-01 14:17:38 +0000368void do_scale_tps62361(int gpio, u32 reg, u32 volt_mv)
Aneesh Vd5067192011-07-21 09:29:32 -0400369{
Nishanth Menona78274b2012-03-01 14:17:37 +0000370 u32 step;
Nishanth Menon3acb5532012-03-01 14:17:38 +0000371 int ret = 0;
372
373 /* See if we can first get the GPIO if needed */
374 if (gpio >= 0)
375 ret = gpio_request(gpio, "TPS62361_VSEL0_GPIO");
376 if (ret < 0) {
377 printf("%s: gpio %d request failed %d\n", __func__, gpio, ret);
378 gpio = -1;
379 }
380
381 /* Pull the GPIO low to select SET0 register, while we program SET1 */
382 if (gpio >= 0)
383 gpio_direction_output(gpio, 0);
Aneesh Vd5067192011-07-21 09:29:32 -0400384
385 step = volt_mv - TPS62361_BASE_VOLT_MV;
386 step /= 10;
387
Aneesh Vd5067192011-07-21 09:29:32 -0400388 debug("do_scale_tps62361: volt - %d step - 0x%x\n", volt_mv, step);
Nishanth Menona78274b2012-03-01 14:17:37 +0000389 if (omap_vc_bypass_send_value(TPS62361_I2C_SLAVE_ADDR, reg, step))
Aneesh Vd5067192011-07-21 09:29:32 -0400390 puts("Scaling voltage failed for vdd_mpu from TPS\n");
Nishanth Menon3acb5532012-03-01 14:17:38 +0000391
392 /* Pull the GPIO high to select SET1 register */
393 if (gpio >= 0)
394 gpio_direction_output(gpio, 1);
Aneesh Vd5067192011-07-21 09:29:32 -0400395}
396
Sricharan2e5ba482011-11-15 09:49:58 -0500397void do_scale_vcore(u32 vcore_reg, u32 volt_mv)
Aneesh V37768012011-07-21 09:10:07 -0400398{
Nishanth Menona78274b2012-03-01 14:17:37 +0000399 u32 offset_code;
Aneesh V37768012011-07-21 09:10:07 -0400400 u32 step = 12660; /* 12.66 mV represented in uV */
401 u32 offset = volt_mv;
402
403 /* convert to uV for better accuracy in the calculations */
404 offset *= 1000;
405
406 if (omap_revision() == OMAP4430_ES1_0)
407 offset -= PHOENIX_SMPS_BASE_VOLT_STD_MODE_UV;
408 else
409 offset -= PHOENIX_SMPS_BASE_VOLT_STD_MODE_WITH_OFFSET_UV;
410
411 offset_code = (offset + step - 1) / step;
412 /* The code starts at 1 not 0 */
413 offset_code++;
414
415 debug("do_scale_vcore: volt - %d offset_code - 0x%x\n", volt_mv,
416 offset_code);
Nishanth Menona78274b2012-03-01 14:17:37 +0000417 if (omap_vc_bypass_send_value(SMPS_I2C_SLAVE_ADDR,
418 vcore_reg, offset_code))
Aneesh V37768012011-07-21 09:10:07 -0400419 printf("Scaling voltage failed for 0x%x\n", vcore_reg);
Aneesh V37768012011-07-21 09:10:07 -0400420}
421
Aneesh V37768012011-07-21 09:10:07 -0400422static inline void enable_clock_domain(u32 *const clkctrl_reg, u32 enable_mode)
423{
424 clrsetbits_le32(clkctrl_reg, CD_CLKCTRL_CLKTRCTRL_MASK,
425 enable_mode << CD_CLKCTRL_CLKTRCTRL_SHIFT);
Marek Vasut3ff915e2011-10-24 23:41:40 +0000426 debug("Enable clock domain - %p\n", clkctrl_reg);
Aneesh V37768012011-07-21 09:10:07 -0400427}
428
429static inline void wait_for_clk_enable(u32 *clkctrl_addr)
430{
431 u32 clkctrl, idlest = MODULE_CLKCTRL_IDLEST_DISABLED;
432 u32 bound = LDELAY;
433
434 while ((idlest == MODULE_CLKCTRL_IDLEST_DISABLED) ||
435 (idlest == MODULE_CLKCTRL_IDLEST_TRANSITIONING)) {
436
437 clkctrl = readl(clkctrl_addr);
438 idlest = (clkctrl & MODULE_CLKCTRL_IDLEST_MASK) >>
439 MODULE_CLKCTRL_IDLEST_SHIFT;
440 if (--bound == 0) {
441 printf("Clock enable failed for 0x%p idlest 0x%x\n",
442 clkctrl_addr, clkctrl);
443 return;
444 }
445 }
446}
447
448static inline void enable_clock_module(u32 *const clkctrl_addr, u32 enable_mode,
449 u32 wait_for_enable)
450{
451 clrsetbits_le32(clkctrl_addr, MODULE_CLKCTRL_MODULEMODE_MASK,
452 enable_mode << MODULE_CLKCTRL_MODULEMODE_SHIFT);
Marek Vasut3ff915e2011-10-24 23:41:40 +0000453 debug("Enable clock module - %p\n", clkctrl_addr);
Aneesh V37768012011-07-21 09:10:07 -0400454 if (wait_for_enable)
455 wait_for_clk_enable(clkctrl_addr);
456}
457
Aneesh V37768012011-07-21 09:10:07 -0400458void freq_update_core(void)
459{
460 u32 freq_config1 = 0;
461 const struct dpll_params *core_dpll_params;
462
463 core_dpll_params = get_core_dpll_params();
464 /* Put EMIF clock domain in sw wakeup mode */
465 enable_clock_domain(&prcm->cm_memif_clkstctrl,
466 CD_CLKCTRL_CLKTRCTRL_SW_WKUP);
467 wait_for_clk_enable(&prcm->cm_memif_emif_1_clkctrl);
468 wait_for_clk_enable(&prcm->cm_memif_emif_2_clkctrl);
469
470 freq_config1 = SHADOW_FREQ_CONFIG1_FREQ_UPDATE_MASK |
471 SHADOW_FREQ_CONFIG1_DLL_RESET_MASK;
472
473 freq_config1 |= (DPLL_EN_LOCK << SHADOW_FREQ_CONFIG1_DPLL_EN_SHIFT) &
474 SHADOW_FREQ_CONFIG1_DPLL_EN_MASK;
475
476 freq_config1 |= (core_dpll_params->m2 <<
477 SHADOW_FREQ_CONFIG1_M2_DIV_SHIFT) &
478 SHADOW_FREQ_CONFIG1_M2_DIV_MASK;
479
480 writel(freq_config1, &prcm->cm_shadow_freq_config1);
481 if (!wait_on_value(SHADOW_FREQ_CONFIG1_FREQ_UPDATE_MASK, 0,
482 &prcm->cm_shadow_freq_config1, LDELAY)) {
483 puts("FREQ UPDATE procedure failed!!");
484 hang();
485 }
486
487 /* Put EMIF clock domain back in hw auto mode */
488 enable_clock_domain(&prcm->cm_memif_clkstctrl,
489 CD_CLKCTRL_CLKTRCTRL_HW_AUTO);
490 wait_for_clk_enable(&prcm->cm_memif_emif_1_clkctrl);
491 wait_for_clk_enable(&prcm->cm_memif_emif_2_clkctrl);
492}
493
494void bypass_dpll(u32 *const base)
495{
496 do_bypass_dpll(base);
497 wait_for_bypass(base);
498}
499
500void lock_dpll(u32 *const base)
501{
502 do_lock_dpll(base);
503 wait_for_lock(base);
504}
505
Aneesh Vbcae7212011-07-21 09:10:21 -0400506void setup_clocks_for_console(void)
507{
508 /* Do not add any spl_debug prints in this function */
509 clrsetbits_le32(&prcm->cm_l4per_clkstctrl, CD_CLKCTRL_CLKTRCTRL_MASK,
510 CD_CLKCTRL_CLKTRCTRL_SW_WKUP <<
511 CD_CLKCTRL_CLKTRCTRL_SHIFT);
512
513 /* Enable all UARTs - console will be on one of them */
514 clrsetbits_le32(&prcm->cm_l4per_uart1_clkctrl,
515 MODULE_CLKCTRL_MODULEMODE_MASK,
516 MODULE_CLKCTRL_MODULEMODE_SW_EXPLICIT_EN <<
517 MODULE_CLKCTRL_MODULEMODE_SHIFT);
518
519 clrsetbits_le32(&prcm->cm_l4per_uart2_clkctrl,
520 MODULE_CLKCTRL_MODULEMODE_MASK,
521 MODULE_CLKCTRL_MODULEMODE_SW_EXPLICIT_EN <<
522 MODULE_CLKCTRL_MODULEMODE_SHIFT);
523
524 clrsetbits_le32(&prcm->cm_l4per_uart3_clkctrl,
525 MODULE_CLKCTRL_MODULEMODE_MASK,
526 MODULE_CLKCTRL_MODULEMODE_SW_EXPLICIT_EN <<
527 MODULE_CLKCTRL_MODULEMODE_SHIFT);
528
529 clrsetbits_le32(&prcm->cm_l4per_uart3_clkctrl,
530 MODULE_CLKCTRL_MODULEMODE_MASK,
531 MODULE_CLKCTRL_MODULEMODE_SW_EXPLICIT_EN <<
532 MODULE_CLKCTRL_MODULEMODE_SHIFT);
533
534 clrsetbits_le32(&prcm->cm_l4per_clkstctrl, CD_CLKCTRL_CLKTRCTRL_MASK,
535 CD_CLKCTRL_CLKTRCTRL_HW_AUTO <<
536 CD_CLKCTRL_CLKTRCTRL_SHIFT);
537}
538
Sricharan2e5ba482011-11-15 09:49:58 -0500539void do_enable_clocks(u32 *const *clk_domains,
540 u32 *const *clk_modules_hw_auto,
541 u32 *const *clk_modules_explicit_en,
542 u8 wait_for_enable)
543{
544 u32 i, max = 100;
545
546 /* Put the clock domains in SW_WKUP mode */
547 for (i = 0; (i < max) && clk_domains[i]; i++) {
548 enable_clock_domain(clk_domains[i],
549 CD_CLKCTRL_CLKTRCTRL_SW_WKUP);
550 }
551
552 /* Clock modules that need to be put in HW_AUTO */
553 for (i = 0; (i < max) && clk_modules_hw_auto[i]; i++) {
554 enable_clock_module(clk_modules_hw_auto[i],
555 MODULE_CLKCTRL_MODULEMODE_HW_AUTO,
556 wait_for_enable);
557 };
558
559 /* Clock modules that need to be put in SW_EXPLICIT_EN mode */
560 for (i = 0; (i < max) && clk_modules_explicit_en[i]; i++) {
561 enable_clock_module(clk_modules_explicit_en[i],
562 MODULE_CLKCTRL_MODULEMODE_SW_EXPLICIT_EN,
563 wait_for_enable);
564 };
565
566 /* Put the clock domains in HW_AUTO mode now */
567 for (i = 0; (i < max) && clk_domains[i]; i++) {
568 enable_clock_domain(clk_domains[i],
569 CD_CLKCTRL_CLKTRCTRL_HW_AUTO);
570 }
571}
572
Aneesh V37768012011-07-21 09:10:07 -0400573void prcm_init(void)
574{
Sricharan508a58f2011-11-15 09:49:55 -0500575 switch (omap_hw_init_context()) {
Aneesh V37768012011-07-21 09:10:07 -0400576 case OMAP_INIT_CONTEXT_SPL:
577 case OMAP_INIT_CONTEXT_UBOOT_FROM_NOR:
578 case OMAP_INIT_CONTEXT_UBOOT_AFTER_CH:
Aneesh V25223a62011-07-21 09:29:29 -0400579 enable_basic_clocks();
Aneesh V37768012011-07-21 09:10:07 -0400580 scale_vcores();
581 setup_dplls();
Sricharan78f455c2011-11-15 09:50:03 -0500582#ifdef CONFIG_SYS_CLOCKS_ENABLE_ALL
Aneesh V37768012011-07-21 09:10:07 -0400583 setup_non_essential_dplls();
584 enable_non_essential_clocks();
Sricharan78f455c2011-11-15 09:50:03 -0500585#endif
Aneesh V37768012011-07-21 09:10:07 -0400586 break;
587 default:
588 break;
589 }
Sricharan78f455c2011-11-15 09:50:03 -0500590
591 if (OMAP_INIT_CONTEXT_SPL != omap_hw_init_context())
592 enable_basic_uboot_clocks();
Aneesh V37768012011-07-21 09:10:07 -0400593}